Publications

The position paper of the Orchestration path was presented during the 1st International Workshop on Post-Moore's Era Supercomputing (PMES'16) in Salt Lake City, USA on Monday, Nov 14, 2016. A more complete overview of the research of the Orchestration path was published in a journal article in the IEEE Transactions on Multi-Scale Computing Systems


Orch Publications

  • 2023

  • Carlos Escuin, Asif Ali Khan, Pablo Ibáñez-Marín, Teresa Monreal, Jeronimo Castrillon, Víctor Viñals-Yúfera, "Compression-Aware and Performance-Efficient Insertion Policies for Long-Lasting Hybrid LLCs", In Proceeding: the 29th IEEE International Symposium on High-Performance Computer Architecture (HPCA'23), IEEE Computer Society, pp. 179–192, Los Alamitos, CA, USA, Mar 2023. [doi] [Bibtex & Downloads]
  • Johannes Hayeß, "Verifying the Rust Runtime of Lingua Franca", Master's thesis, TU Dresden, March 2023. [Bibtex & Downloads]
  • Steffen Märcker, Michael Raitza, Shubham Rai, Giulio Galderisi, Thomas Mikolajick, Jens Trommer, Akash Kumar, "Formal Analysis of Camouflaged Reconfigurable Circuits" (to appear), Proceedings 21st International NEWCAS Conference, pp. 1–4, 2023. [Bibtex & Downloads]
  • 2022

  • Fazal Hameed, Jeronimo Castrillon, "BlendCache: An Energy and Area Efficient Racetrack Last-Level-Cache Architecture", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (IEEE TCAD), vol. 41, pp. 5288–5298, Dec 2022. [doi] [Bibtex & Downloads]
  • Asif Ali Khan, "Design and Code Optimization for Systems with Next-generation Racetrack Memories", PhD thesis, TU Dresden, 255 pp., Apr 2022. [Bibtex & Downloads]
  • 2021

  • Nesrine Khouzami, Friedrich Michel, Pietro Incardona, Jeronimo Castrillon, Ivo F. Sbalzarini, "Model-based Autotuning of Discretization Methods in Numerical Simulations of Partial Differential Equations", In Journal of Computational Science, vol. 57, pp. 1–11, Dec 2021. [doi] [Bibtex & Downloads]
  • Joonas Iisakki Multanen, Kari Hepola, Asif Ali Khan, Jeronimo Castrillon, Pekka Jääskeläinen, "Energy-Efficient Instruction Delivery in Embedded Systems with Domain Wall Memory", In IEEE Transactions on Computers, pp. 1-1, Oct 2021. [doi] [Bibtex & Downloads]
  • Robert Khasanov, Julian Robledo, Christian Menard, Andr'es Goens, Jeronimo Castrillon, "Domain-specific hybrid mapping for energy-efficient baseband processing in wireless networks", In ACM Transactions on Embedded Computing Systems (TECS). Special issue of the International Conference on Compilers, Architecture, and Synthesis of Embedded Systems (CASES), Association for Computing Machinery, vol. 20, no. 5s, New York, NY, USA, Sep 2021. [doi] [Bibtex & Downloads]
  • Adam Siemieniuk, Lorenzo Chelini, Asif Ali Khan, Jeronimo Castrillon, Andi Drebes, Henk Corporaal, Tobias Grosser, Martin Kong, "OCC: An Automated End-to-End Machine Learning Optimizing Compiler for Computing-In-Memory", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), IEEE Press, vol. 41, no. 6, pp. 1674-1686, Aug 2021. [doi] [Bibtex & Downloads]
  • Suresh Nambi, Salim Ullah, Siva Satyendra Sahoo, Aditya Lohana, Farhad Merchant, Akash Kumar, "ExPAN(N)D: Exploring Posits for Efficient Artificial Neural Network Design in FPGA-based Systems", In IEEE Access, Institute of Electrical and Electronics Engineers (IEEE), pp. 1–1, July 2021. [doi] [Bibtex & Downloads]
  • Andr'es Goens, Jeronimo Castrillon, "Embeddings of Task Mappings to Multicore Systems", Proceedings of the 21st IEEE International Conference on Embedded Computer Systems: Architectures Modeling and Simulation (SAMOS), Springer-Verlag, pp. 161–176, Berlin, Heidelberg, Jul 2021. [doi] [Bibtex & Downloads]
  • Jeronimo Castrillon, "Domain specific languages to tame heterogeneous and emerging computing systems", In ACM SIGHPC conference Platform for Advanced Scientific Computing PASC'21 (keynote), Jul 2021. [Bibtex & Downloads]
  • Nesrine Khouzami, Lars Schütze, Pietro Incardona, Landfried Kraaz, Tina Subic, Jeronimo Castrillon, Ivo F. Sbalzarini, "The OpenPME Problem Solving Environment for Numerical Simulations", In Proceeding: International Conference on Computational Science (ICCS'21) (Paszynski, Maciej and Kranzlmüller, Dieter and Krzhizhanovskaya, Valeria V. and Dongarra, Jack J. and Sloot, Peter M. A.), Springer International Publishing, pp. 614–627, Cham, Jun 2021. [doi] [Bibtex & Downloads]
  • Andres Wilhelm Goens Jokisch, "Improving Model-Based Software Synthesis: A Focus on Mathematical Structures", PhD thesis, TU Dresden, 172 pp., May 2021. [Bibtex & Downloads]
  • 2020

  • Asif Ali Khan, Hauke Mewes, Tobias Grosser, Torsten Hoefler, Jeronimo Castrillon, "Polyhedral Compilation for Racetrack Memories", In IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD). Special issue on Compilers, Architecture, and Synthesis of Embedded Systems (CASES'20), IEEE Press, vol. 39, no. 11, pp. 3968–3980, Oct 2020. [doi] [Bibtex & Downloads]
  • Fazal Hameed, Asif Ali Khan, Jeronimo Castrillon, "Improving the Performance of Block-based DRAM Caches via Tag-Data Decoupling", In IEEE Transactions on Computers, vol. 70, no. 11, pp. 1914-1927, Oct 2020. [doi] [Bibtex & Downloads]
  • Asif Ali Khan, Norman A. Rink, Fazal Hameed, Jeronimo Castrillon, "Optimizing Tensor Contractions for Embedded Devices with Racetrack and DRAM Memories", In ACM Transactions on Embedded Computing Systems (TECS), Association for Computing Machinery, vol. 19, no. 6, New York, NY, USA, Sep 2020. [doi] [Bibtex & Downloads]
  • Friedrich Michel, "Multi-Objective Autotuning Targeting a Domain Specific Language for Particle Simulations", Master's thesis, TU Dresden, May 2020. [Bibtex & Downloads]
  • Christian Menard, Andrés Goens, Marten Lohstroh, Jeronimo Castrillon, "Achieving Determinism in Adaptive AUTOSAR", Proceedings of the 2020 Design, Automation and Test in Europe Conference (DATE), IEEE, pp. 822–827, Mar 2020. (Best paper award candidate A-Track, Video Presentation) [doi] [Bibtex & Downloads]
  • Robert Khasanov, Jeronimo Castrillon, "Energy-efficient Runtime Resource Management for Adaptable Multi-application Mapping", Proceedings of the 2020 Design, Automation and Test in Europe Conference (DATE), IEEE, pp. 909–914, Mar 2020. (Best paper award candidate E-Track, Video Presentation) [doi] [Bibtex & Downloads]
  • Asif Ali Khan, Andrés Goens, Fazal Hameed, Jeronimo Castrillon, "Generalized Data Placement Strategies for Racetrack Memories", Proceedings of the 2020 Design, Automation and Test in Europe Conference (DATE), IEEE, pp. 1502–1507, Mar 2020. (Video Presentation) [doi] [Bibtex & Downloads]
  • Robin Bläsing, Asif Ali Khan, Panagiotis Ch. Filippou, Chirag Garg, Fazal Hameed, Jeronimo Castrillon, Stuart S. P. Parkin, "Magnetic Racetrack Memory: From Physics to the Cusp of Applications within a Decade", In Proceedings of the IEEE, vol. 108, no. 8, pp. 1303-1321, Mar 2020. [doi] [Bibtex & Downloads]
  • Marten Lohstroh, Íñigo Íncer Romero, Andrés Goens, Patricia Derler, Jeronimo Castrillon, Edward A. Lee, Alberto Sangiovanni-Vincentelli, "Reactors: A Deterministic Model for Composable Reactive Systems", Cyber Physical Systems. Model-Based Design – Proceedings of the 9th Workshop on Design, Modeling and Evaluation of Cyber Physical Systems (CyPhy 2019) and the Workshop on Embedded and Cyber-Physical Systems Education (WESE 2019) (Chamberlain, Roger and Edin Grimheden, Martin and Taha, Walid), Springer International Publishing, pp. 59–85, Cham, Feb 2020. [doi] [Bibtex & Downloads]
  • 2019

  • Asif Ali Khan, Fazal Hameed, Robin Bläsing, Stuart S. P. Parkin, Jeronimo Castrillon, "ShiftsReduce: Minimizing Shifts in Racetrack Memory 4.0", In ACM Transactions on Architecture and Code Optimization (TACO), ACM, vol. 16, no. 4, pp. 56:1–56:23, New York, NY, USA, Dec 2019. [doi] [Bibtex & Downloads]
  • Sebastian Ertel, "Towards Implicit Parallel Programming for Systems", PhD thesis, TU Dresden, 121pp, Dec 2019. [Bibtex & Downloads]
  • Fazal Hameed, Jeronimo Castrillon, "A Novel Hybrid DRAM/STT-RAM Last-Level-Cache Architecture for Performance, Energy and Endurance Enhancement", In IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 27, no. 10, pp. 2375-2386, Oct 2019. [doi] [Bibtex & Downloads]
  • Jeronimo Castrillon, "Dataflow and higher level abstractions for parallel programming", In CPS Summer School 2019: Designing Cyber-Physical Systems - From concepts to implementation (keynote), Sep 2019. [Bibtex & Downloads]
  • Sebastian Ertel, Justus Adam, Norman A. Rink, Andrés Goens, Jeronimo Castrillon, "STCLang: State Thread Composition as a Foundation for Monadic Dataflow Parallelism", Proceedings of the 12th ACM SIGPLAN International Symposium on Haskell, ACM, pp. 146–161, New York, NY, USA, Aug 2019. [doi] [Bibtex & Downloads]
  • Joonas Multanen, Asif Ali Khan, Pekka Jääskeläinen, Fazal Hameed, Jeronimo Castrillon, "SHRIMP: Efficient Instruction Delivery with Domain Wall Memory", Proceedings of the International Symposium on Low Power Electronics and Design, ACM, 6pp, New York, NY, USA, Jul 2019. [doi] [Bibtex & Downloads]
  • Andrés Goens, Christian Menard, Jeronimo Castrillon, "On Compact Mappings for Multicore Systems", Proceedings of the IEEE International Conference on Embedded Computer Systems Architectures Modeling and Simulation (SAMOS) (D. Pnevmatikatos and M. Pelcat and M. Jung), Springer, Cham, vol. 11733, pp. 325–335, Jul 2019. [doi] [Bibtex & Downloads]
  • Asif Ali Khan, Norman A. Rink, Fazal Hameed, Jeronimo Castrillon, "Optimizing Tensor Contractions for Embedded Devices with Racetrack Memory Scratch-Pads", Proceedings of the 20th ACM SIGPLAN/SIGBED International Conference on Languages, Compilers, Tools and Theory of Embedded Systems (LCTES), ACM, pp. 5–18, New York, NY, USA, Jun 2019. [doi] [Bibtex & Downloads]
  • Norman A. Rink, Jeronimo Castrillon, "TeIL: a type-safe imperative Tensor Intermediate Language", Proceedings of the 6th ACM SIGPLAN International Workshop on Libraries, Languages, and Compilers for Array Programming (ARRAY), ACM, pp. 57–68, New York, NY, USA, Jun 2019. [doi] [Bibtex & Downloads]
  • Marten Lohstroh, Martin Schoeberl, Andrés Goens, Armin Wasicek, Christopher Gill, Marjan Sirjani, Edward A Lee, "Actors Revisited for Time-Critical Systems", Proceedings of the 56th annual Design Automation Conference, ACM, 4pp, Las Vegas, NV, USA, Jun 2019. [doi] [Bibtex & Downloads]
  • Sebastian Ertel, Justus Adam, Norman A. Rink, Andrés Goens, Jeronimo Castrillon, "Category-Theoretic Foundations of ``STCLang: State Thread Composition as a Foundation for Monadic Dataflow Parallelism''", In CoRR, vol. abs/1906.12098, Jun 2019. [Bibtex & Downloads]
  • Hasna Bouraoui, Jeronimo Castrillon, Chadlia Jerad, "Comparing Dataflow and OpenMP Programming for Speaker Recognition Applications", Proceedings of the 10th Workshop and 8th Workshop on Parallel Programming and RunTime Management Techniques for Manycore Architectures and Design Tools and Architectures for Multicore Embedded Computing Platforms (PARMA-DITAM'19), co-located with 14th International Conference on High-Performance and Embedded Architectures and Compilers (HiPEAC), ACM, pp. 4:1–4:6, New York, NY, USA, Jan 2019. [doi] [Bibtex & Downloads]
  • Asif Ali Khan, Fazal Hameed, Robin Bläsing, Stuart Parkin, Jeronimo Castrillon, "RTSim: A Cycle-accurate Simulator for Racetrack Memories", In IEEE Computer Architecture Letters, IEEE, vol. 18, no. 1, pp. 43–46, Jan 2019. [doi] [Bibtex & Downloads]
  • 2018

  • Adilla Susungi, Norman A. Rink, Albert Cohen, Jeronimo Castrillon, Claude Tadonki, "Meta-programming for Cross-Domain Tensor Optimizations", Proceedings of 17th ACM SIGPLAN International Conference on Generative Programming: Concepts and Experiences (GPCE'18), ACM, pp. 79–92, New York, NY, USA, Nov 2018. [doi] [Bibtex & Downloads]
  • Andrés Goens, Christian Menard, Jeronimo Castrillon, "On the Representation of Mappings to Multicores", Proceedings of the IEEE 12th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-18), pp. 184–191, Vietnam National University, Hanoi, Vietnam, Sep 2018. [doi] [Bibtex & Downloads]
  • Til Jasper Ullrich, "Detection and exploitation of data-parallelism in assignments of multi-dimensional tensors", Bachelor's thesis, TU Dresden, Dresden, Germany, 8/2018. [Bibtex & Downloads]
  • Jeronimo Castrillon, Matthias Lieber, Sascha Klüppelholz, Marcus Völp, Nils Asmussen, Uwe Assmann, Franz Baader, Christel Baier, Gerhard Fettweis, Jochen Fröhlich, Andrés Goens, Sebastian Haas, Dirk Habich, Hermann Härtig, Mattis Hasler, Immo Huismann, Tomas Karnagel, Sven Karol, Akash Kumar, Wolfgang Lehner, Linda Leuschner, Siqi Ling, Steffen Märcker, Christian Menard, Johannes Mey, Wolfgang Nagel, Benedikt Nöthen, Rafael Peñaloza, Michael Raitza, Jörg Stiller, Annett Ungethüm, Axel Voigt, Sascha Wunderlich, "A Hardware/Software Stack for Heterogeneous Systems", In IEEE Transactions on Multi-Scale Computing Systems, vol. 4, no. 3, pp. 243-259, Jul 2018. [doi] [Bibtex & Downloads]
  • Fazal Hameed, Asif Ali Khan, Jeronimo Castrillon, "Performance and Energy Efficient Design of STT-RAM Last-Level-Cache", In IEEE Transactions on Very Large Scale Integration Systems (TVLSI), vol. 26, no. 6, pp. 1059–1072, Jun 2018. [doi] [Bibtex & Downloads]
  • Sven Karol, Tobias Nett, Jeronimo Castrillon, Ivo F. Sbalzarini, "A Domain-Specific Language and Editor for Parallel Particle Methods", In ACM Transactions on Mathematical Software (TOMS), ACM, vol. 44, no. 3, pp. 32, New York, NY, USA, Mar 2018. [doi] [Bibtex & Downloads]
  • Fazal Hameed, Jeronimo Castrillon, "STT-RAM Aware Last-Level-Cache Policies for Simultaneous Energy and Performance Improvement", Proceedings of the 9th Annual Non-Volatile Memories Workshop (NVMW 2018), Mar 2018. [Bibtex & Downloads]
  • Sebastian Ertel, Andrés Goens, Justus Adam, Jeronimo Castrillon, "Compiling for Concise Code and Efficient I/O", Proceedings of the 27th International Conference on Compiler Construction (CC 2018), ACM, pp. 104–115, New York, NY, USA, Feb 2018. [doi] [Bibtex & Downloads]
  • Sebastian Ertel, Justus Adam, Jeronimo Castrillon, "Supporting Fine-grained Dataflow Parallelism in Big Data Systems", Proceedings of the 9th International Workshop on Programming Models and Applications for Multicores and Manycores (PMAM), ACM, pp. 41–50, New York, NY, USA, Feb 2018. [doi] [Bibtex & Downloads]
  • Norman A. Rink, Immo Huismann, Adilla Susungi, Jeronimo Castrillon, Jörg Stiller, Jochen Fröhlich, Claude Tadonki, "CFDlang: High-level Code Generation for High-order Methods in Fluid Dynamics", Proceedings of the 3rd International Workshop on Real World Domain Specific Languages (RWDSL 2018), ACM, pp. 5:1–5:10, New York, NY, USA, Feb 2018. [doi] [Bibtex & Downloads]
  • Hermann Härtig, Nils Asmussen, Jeronimo Castrillon, Adam Lackorzynski, Michael Roitzsch, Carsten Weinhold, Akash Kumar, "Extremely Heterogeneous Systems – Not Just For Niches", In Proceeding: Extreme Heterogeneity Workshop, Feb 2018. [Bibtex & Downloads]
  • Robert Khasanov, Andrés Goens, Jeronimo Castrillon, "Implicit Data-Parallelism in Kahn Process Networks: Bridging the MacQueen Gap", Proceedings of the 9th Workshop and 7th Workshop on Parallel Programming and RunTime Management Techniques for Manycore Architectures and Design Tools and Architectures for Multicore Embedded Computing Platforms (PARMA-DITAM'18), co-located with 13th International Conference on High-Performance and Embedded Architectures and Compilers (HiPEAC), ACM, pp. 20–25, New York, NY, USA, Jan 2018. [doi] [Bibtex & Downloads]
  • Andrés Goens, Sebastian Ertel, Justus Adam, Jeronimo Castrillon, "Level Graphs: Generating Benchmarks for Concurrency Optimizations in Compilers", Proceedings of the 11th International Workshop on Programmability and Architectures for Heterogeneous Multicores (MULTIPROG'2018), co-located with 13th International Conference on High-Performance and Embedded Architectures and Compilers (HiPEAC), Jan 2018. [Bibtex & Downloads]
  • Asif Ali Khan, Fazal Hameed, Jeronimo Castrillon, "NVMain Extension for Multi-Level Cache Systems", Proceedings of the 10th RAPIDO Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, co-located with 13th International Conference on High-Performance and Embedded Architectures and Compilers (HiPEAC), ACM, pp. 7:1–7:6, New York, NY, USA, Jan 2018. [doi] [Bibtex & Downloads]
  • Daniel Gburek, Christel Baier, "Bisimulations, Logics, and Trace Distributions for Stochastic Systems with Rewards", Proceedings of the 21st International Conference on Hybrid Systems: Computation and Control (Part of CPS Week), ACM, pp. 31–40, New York, NY, USA, 2018. [doi] [Bibtex & Downloads]
  • Norman A. Rink, "Modeling of languages for tensor manipulation", In CoRR, vol. abs/1801.08771, 2018. [Bibtex & Downloads]
  • 2017

  • Fazal Hameed, Christian Menard, Jeronimo Castrillon, "Efficient STT-RAM Last-Level-Cache Architecture to replace DRAM Cache", Proceedings of the International Symposium on Memory Systems (MemSys'17), ACM, pp. 141–151, New York, NY, USA, Oct 2017. [doi] [Bibtex & Downloads]
  • Adilla Susungi, Norman A. Rink, Jeronimo Castrillon, Immo Huismann, Albert Cohen, Claude Tadonki, Jörg Stiller, Jochen Fröhlich, "Towards Compositional and Generative Tensor Optimizations", Proceedings of 16th ACM SIGPLAN International Conference on Generative Programming: Concepts and Experiences (GPCE'17), ACM, pp. 169–175, New York, NY, USA, Oct 2017. [doi] [Bibtex & Downloads]
  • Immo Huismann, Jörg Stiller, Jochen Fröhlich, "Factorizing the factorization \textendash a spectral-element solver for elliptic equations with linear operation count", In Journal of Computational Physics, Elsevier BV, vol. 346, pp. 437–448, Oct 2017. [doi] [Bibtex & Downloads]
  • Sven Karol, Tobias Nett, Pietro Incardona, Nesrine Khouzami, Jeronimo Castrillon, Ivo F. Sbalzarini, "A Language and Development Environment for Parallel Particle Methods", Proceedings of the 5th International Conference on Particle-based Methods. Fundamentals and Applications PARTICLES 2017 (P. Wriggers and M. Bischoff and E. Oñate and D.R.J. Owen and T. Zohdi), Sep 2017. [Bibtex & Downloads]
  • Jeronimo Castrillon, Tei-Wei Kuo, Heike E. Riel, Matthias Lieber, "Wildly Heterogeneous Post-CMOS Technologies Meet Software (Dagstuhl Seminar 17061)", In Dagstuhl Reports (Jerónimo Castrillón-Mazo and Tei-Wei Kuo and Heike E. Riel and Matthias Lieber), Schloss Dagstuhl–Leibniz-Zentrum fuer Informatik, vol. 7, no. 2, pp. 1–22, Dagstuhl, Germany, Aug 2017. [doi] [Bibtex & Downloads]
  • Andrés Goens, Sergio Siccha, Jeronimo Castrillon, "Symmetry in Software Synthesis", In ACM Transactions on Architecture and Code Optimization (TACO),, ACM, vol. 14, no. 2, pp. 20:1–20:26, New York, NY, USA, Jul 2017. [doi] [Bibtex & Downloads]
  • Christian Menard, Matthias Jung, Jeronimo Castrillon, Norbert Wehn, "System Simulation with gem5 and SystemC: The Keystone for Full Interoperability", Proceedings of the IEEE International Conference on Embedded Computer Systems Architectures Modeling and Simulation (SAMOS), pp. 62–69, Jul 2017. [doi] [Bibtex & Downloads]
  • Andrés Goens, Robert Khasanov, Marcus Hähnel, Till Smejkal, Hermann Härtig, Jeronimo Castrillon, "TETRiS: a Multi-Application Run-Time System for Predictable Execution of Static Mappings", Proceedings of the 20th International Workshop on Software and Compilers for Embedded Systems (SCOPES'17), ACM, pp. 11–20, New York, NY, USA, Jun 2017. [doi] [Bibtex & Downloads]
  • Gerald Hempel, Andrés Goens, Josefine Asmus, Jeronimo Castrillon, Ivo F. Sbalzarini, "Robust Mapping of Process Networks to Many-Core Systems Using Bio-Inspired Design Centering", Proceedings of the 20th International Workshop on Software and Compilers for Embedded Systems (SCOPES '17), ACM, pp. 21–30, New York, NY, USA, Jun 2017. [doi] [Bibtex & Downloads]
  • Norman A. Rink, Jeronimo Castrillon, "Extending a Compiler Backend for Complete Memory Error Detection", In Proceeding: Lecture Notes in Informatics: Automotive - Safety & Security 2017 (Peter Dencker and Herbert Klenk and Hubert Kelle and Erhard Plödereder), pp. 61–74, May 2017. (Best paper award) [Bibtex & Downloads]
  • Norman A. Rink, Jeronimo Castrillon, "Trading Fault Tolerance for Performance in AN Encoding", Proceedings of the ACM International Conference on Computing Frontiers (CF'17), ACM, pp. 183–190, New York, NY, USA, May 2017. [doi] [Bibtex & Downloads]
  • Hasna Bouraoui, Chadlia Jerad, Anupam Chattopadhyay, Nejib Ben Hadj-Alouane, "Hardware Architectures for Embedded Speaker Recognition Applications", In ACM Transactions on Embedded Computing Systems, Association for Computing Machinery (ACM), vol. 16, no. 3, pp. 1–28, Apr 2017. [doi] [Bibtex & Downloads]
  • Michael Raitza, Jens Trommer, Akash Kumar, Marcus Völp, Dennis Walter, Walter Weber, Thomas Mikolajick, "Exploiting Transistor-Level Reconfiguration to Optimize Combinational Circuits", Proceedings of the 2017 Design, Automation & Test in Europe Conference & Exhibition, March 2017. [Bibtex & Downloads]
  • Fazal Hameed, Jeronimo Castrillon, "Rethinking On-chip DRAM Cache for Simultaneous Performance and Energy Optimization", Proceedings of the 2017 Design, Automation and Test in Europe conference (DATE), EDA Consortium, pp. 362–367, Mar 2017. [doi] [Bibtex & Downloads]
  • Norman A. Rink, Jeronimo Castrillon, "flexMEDiC: flexible Memory Error Detection by Combined data encoding and duplication", Proceedings of the 2nd International Workshop on Resiliency in Embedded Electronic Systems (REES), co-located with DATE 2017, pp. 15–22, Mar 2017. [Bibtex & Downloads]
  • Andrés Goens, Jeronimo Castrillon, "Optimizing for Data-Parallelism in Kahn Process Networks", In Proceeding: ACM SRC at International Symposium on Code Generationand Optimization (CGO), Feb 2017. [Bibtex & Downloads]
  • Jeronimo Castrillon, "On Mapping to Multi/Manycores", In 10th International Workshop on Programmability and Architectures for Heterogeneous Multicores (MULTIPROG-2017), held in conjunction with the 12th International Conference on High-Performance and Embedded Architectures and Compilers (HiPEAC) (invited talk), Jan 2017. [Bibtex & Downloads]
  • Jeronimo Castrillon, "Flexible and Scalable Dataflow Programming for Manycores", In Tutorial for heterogeneous multicore design automation: current and future, held in conjunction with the 12th International Conference on High-Performance and Embedded Architectures and Compilers (HiPEAC) (invited talk), Jan 2017. [Bibtex & Downloads]
  • Rui Santos, Shyamsundar Venkataraman, Akash Kumar, "Scrubbing Mechanism for Heterogeneous Applications in Reconfigurable Devices", In ACM Transactions on Design Automation of Electronic Systems (TODAES), 2017. [Bibtex & Downloads]
  • Matthias Lieber, Wolfgang E. Nagel, "Highly scalable SFC-based dynamic load balancing and its application to atmospheric modeling", In Future Generation Computer Systems, 2017. [doi] [Bibtex & Downloads]
  • Immo Huismann, Matthias Lieber, Jörg Stiller, Jochen Fröhlich, "Load Balancing for CPU-GPU Coupling in Computational Fluid Dynamics" (to appear), In Proceeding: Proc. of PPAM 2017, 2017. [Bibtex & Downloads]
  • Immo Huismann, Jörg Stiller, Jochen Fröhlich, "Factorizing the factorization – a spectral-element solver for elliptic equations with linear operation count" , In Journal of Computational Physics, vol. 346, pp. 437-448, 2017. [doi] [Bibtex & Downloads]
  • David Müller andSalomon Sickert, "LTL to Deterministic Emerson-Lei Automata", In Proceeding: Proc. of the 8th International Symposium on Games, Automata, Logics, and Formal Verification (GandALF), 2017. [Bibtex & Downloads]
  • Lisa Hutschenreiter, Christel Baier, Joachim Klein, "Parametric Markov Chains: PCTL Complexity and Fraction-free Gaussian Elimination", In Proceeding: Proc. of the 8th International Symposium on Games, Automata, Logics, and Formal Verification (GandALF), 2017. [Bibtex & Downloads]
  • Philipp Chrszon, Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "ProFeat: Feature-oriented Engineering for Family-based Probabilistic Model Checking", In Formal Aspects of Computing, 2017. [Bibtex & Downloads]
  • Linda Leuschner, Martin Küttler, Tobias Stumpf, Christel Baier, Hermann Härtig, Sascha Klüppelholz, "Towards Automated Configuration of Systems with Non-Functional Constraints", Proceedings of the 16th Workshop on Hot Topics in Operating Systems (HotOS), 2017. [Bibtex & Downloads]
  • Steffen Märcker, Christel Baier, Joachim Klein, Sascha Klüppelholz, "Computing Conditional Probabilities: Implementation and Evaluation", In Proceeding: Proc. of the 15th International Conference on Software Engineering and Formal Methods (SEFM), 2017. [Bibtex & Downloads]
  • Christel Baier, Clemens Dubslaff, \v Luboš Koren\v ciak, Antonín Ku\v cera, Vojt\v ech \v Rehák, "Mean-Payoff Optimization in Continuous-Time Markov Chains with Parametric Alarms", In Proceeding: Proc. of the 14th International Conference on Quantitative Evaluation of Systems (QEST), 2017. [Bibtex & Downloads]
  • Christel Baier, Clemens Dubslaff, Antonín Ku\vcera, Vojt\vech \vRehák, others, "Synthesis of optimal resilient control strategies", In Proceeding: International Symposium on Automated Technology for Verification and Analysis, pp. 417–434, 2017. [Bibtex & Downloads]
  • Christel Baier, Joachim Klein, Linda Leuschner, David Parker, Sascha Wunderlich, "Ensuring the Reliability of Your Model Checker: Interval Iteration for Markov Decision Processes", In Proceeding: Proc. of the 29th International Conference on Computer Aided Verification (CAV), Part I, Springer, vol. 10426, pp. 160–180, 2017. [Bibtex & Downloads]
  • Joachim Klein, Christel Baier, Philipp Chrszon, Marcus Daum, Clemens Dubslaff, Sascha Klüppelholz, Steffen Märcker, David Müller, "Advances in probabilistic model checking with PRISM: variable reordering, quantiles and weak deterministic Büchi automata", In International Journal on Software Tools for Technology Transfer, pp. 1–16, 2017. [Bibtex & Downloads]
  • Christel Baier, Joachim Klein, Sascha Klüppelholz, Sascha Wunderlich, "Maximizing the Conditional Expected Reward for Reaching the Goal", In Proceeding: Proc. of the 23rd International Conference on Tools and Algorithms for the Construction and Analysis of Systems (TACAS), Part II, Springer, vol. 10206, pp. 269–285, 2017. [Bibtex & Downloads]
  • David Carral, Irina Dragoste, Markus Krötzsch, "Restricted Chase (Non) Termination for Existential Rules with Disjunctions.", In Proceeding: IJCAI, pp. 922–928, 2017. [Bibtex & Downloads]
  • Sebastian Haas, Tobias Seifert, Benedikt Nöthen, Stefan Scholze, Sebastian Höppner, Andreas Dixius, Esther Pérez Adeva, Thomas Augustin, Friedrich Pauls, Sadia Moriam, others, "A heterogeneous SDR MPSoC in 28 nm CMOS for low-latency wireless applications", Proceedings of the 54th Annual Design Automation Conference 2017, pp. 1–6, 2017. [Bibtex & Downloads]
  • 2016

  • Norman A. Rink, Jeronimo Castrillon, "Comprehensive Backend Support for Local Memory Fault Tolerance", Technical report, Technische Universität Dresden, pp. 11, Dec 2016. [Bibtex & Downloads]
  • Marcus Völp, Sascha Klüppelholz, Jeronimo Castrillon, Hermann Härtig, Nils Asmussen, Uwe Assmann, Franz Baader, Christel Baier, Gerhard Fettweis, Jochen Fröhlich, Andres Goens, Sebastian Haas, Dirk Habich, Mattis Hasler, Immo Huismann, Tomas Karnagel, Sven Karol, Wolfgang Lehner, Linda Leuschner, Matthias Lieber, Siqi Ling, Steffen Märcker, Johannes Mey, Wolfgang Nagel, Benedikt Nöthen, Rafael Peñaloza, Michael Raitza, Jörg Stiller, Annett Ungethüm, Axel Voigt, "The Orchestration Stack: The Impossible Task of Designing Software for Unknown Future Post-CMOS Hardware", Proceedings of the 1st International Workshop on Post-Moore's Era Supercomputing (PMES), Co-located with The International Conference for High Performance Computing, Networking, Storage and Analysis (SC16), Salt Lake City, USA, Nov 2016. [Bibtex & Downloads]
  • Christian Menard, Andrés Goens, Jeronimo Castrillon, "High-Level NoC Model for MPSoC Compilers", Proceedings of the IEEE Nordic Circuits and Systems Conference (NORCAS'16), pp. 1-6, Copenhagen, Denmark, Nov 2016. [doi] [Bibtex & Downloads]
  • Nam Khanh Pham, Akash Kumar, Khin Mi Mi Aung, "Automatic framework to generate reconfigurable accelerators for option pricing applications", In Proceeding: International Conference on Reconfigurable Computing and FPGAs (ReConFig), Nov 2016. [Bibtex & Downloads]
  • Andres Goens, Robert Khasanov, Jeronimo Castrillon, Simon Polstra, Andy Pimentel, "Why Comparing System-level MPSoC Mapping Approaches is Difficult: a Case Study", Proceedings of the IEEE 10th International Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC-16), pp. 281-288, Ecole Centrale de Lyon, Lyon, France, Sep 2016. [doi] [Bibtex & Downloads]
  • Benjamin Schiller, Clemens Deusser, Jeronimo Castrillon, Thorsten Strufe, "Compile- and Run-time Approaches for the Selection of Efficient Data Structures for Dynamic Graph Analysis", In Journal of Applied Network Science, vol. 1, no. 9, pp. 1–22, Sep 2016. [doi] [Bibtex & Downloads]
  • Andrés Goens, Jeronimo Castrillon, Maximilian Odendahl, Rainer Leupers, "An Optimal Allocation of Memory Buffers for Complex Multicore Platforms", In Journal of Systems Architecture, Elsevier, vol. 66-67, pp. 69–83, May 2016. [doi] [Bibtex & Downloads]
  • Pham Nam Khanh, Akash Kumar, Khin Mi Mi Aung, "Machine Learning Approach to Generate Pareto Front for List-scheduling Algorithms", Proceedings of the 19th International Workshop on Software and Compilers for Embedded Systems, SCOPES, Sankt Goar, Germany, May 23-25, 2016, pp. 127–134, May 2016. (Awarded with Best presentation award of SCOPES 2016) [doi] [Bibtex & Downloads]
  • N. U. Hassan, M. Schlüter, G. P. Fettweis, "Fully parallel window decoder architecture for spatially-coupled LDPC codes", In Proceeding: 2016 IEEE International Conference on Communications (ICC), pp. 1-6, May 2016. [Bibtex & Downloads]
  • Nils Asmussen, Marcus Völp, Benedikt Nöthen, Hermann Härtig, Gerhard Fettweis, "M3: A Hardware/Operating-System Co-Design to Tame Heterogeneous Manycores" (to appear), Proceedings of the 21st International Conference on Architectural Support for Programming Languages and Operating Systems, ACM, April 2016. [Bibtex & Downloads]
  • Jeronimo Castrillon, "Programming Heterogeneous Embedded Systems for IoT", In Workshop get-togethers toward a sustainable collaboration in IoT (invited talk), Apr 2016. ([link]) [Bibtex & Downloads]
  • Sven Karol, Norman A. Rink, Bálint Gyapjas, Jeronimo Castrillon, "Fault Tolerance with Aspects: a Feasibility Study", Proceedings of the 15th International Conference on Modularity, ACM, pp. 66–69, New York, NY, USA, Mar 2016. [doi] [Bibtex & Downloads]
  • Christian Menard, "Mapping KPN-Based Applications to the NoC-Based Tomahawk Architectures", Master's thesis, TU Dresden, 3/2016. [Bibtex & Downloads]
  • Johannes Mey, Sven Karol, Uwe Aßmann, Immo Huismann, Jörg Stiller, Jochen Fröhlich, "Using Semantics-Aware Composition and Weaving for Multi-Variant Progressive Parallelization" , In Procedia Computer Science, Elsevier, vol. 80, pp. 1554–1565, 2016. [doi] [Bibtex & Downloads]
  • Immo Huismann, Jörg Stiller, Jochen Fröhlich, "Fast static condensation for the Helmholtz equation in a spectral-element discretization", Chapter in Parallel Processing and Applied Mathematics, Springer, pp. 371–380, 2016. [doi] [Bibtex & Downloads]
  • Pham Nam Khanh, Amit Kumar Singh, Akash Kumar, Khin Mi Mi Aung, "Leakage Aware Resource Management Approach with Machine Learning Optimization Framework for Partially Reconfigurable Architectures", In Microprocessors and Microsystems, 2016. [Bibtex & Downloads]
  • Christel Baier, Sascha Klüppelholz, Hermann de Meer, Florian Niedermeier, Sascha Wunderlich, "Greener Bits: Formal Analysis of Demand Response", In Proceeding: Proc. of the 14th International Symposium on Automated Technology for Verification and Analysis (ATVA), Springer, vol. 9938, pp. 323–339, 2016. [doi] [Bibtex & Downloads]
  • Christel Baier, "Cost-Utility Analysis in Probabilistic Models", In Proceeding: Proc. of the 10th International Symposium on Theoretical Aspects of Software Engineering (TASE), IEEE, pp. 1, 2016. [doi] [Bibtex & Downloads]
  • Daniel Gburek, Christel Baier, Sascha Klüppelholz, "Composition of Stochastic Transition Systems Based on Spans and Couplings", In Proceeding: Proc. of the 43rd International Colloquium on Automata, Languages and Programming (ICALP), Schloss Dagstuhl - Leibniz-Zentrum für Informatik, vol. 55, pp. 102:1–102:15, 2016. [Bibtex & Downloads]
  • Christel Baier, Stefan Kiefer, Joachim Klein, Sascha Klüppelholz, David Müller, James Worrell, "Markov Chains and Unambiguous Büchi Automata", In Proceeding: Proc. of the 28th International Conference on Computer Aided Verification (CAV) - Part I, Springer, vol. 9779, pp. 23–42, 2016. [Bibtex & Downloads]
  • Joachim Klein, Christel Baier, Philipp Chrszon, Marcus Daum, Clemens Dubslaff, Sascha Klüppelholz, Steffen Märcker, David Müller, "Advances in Symbolic Probabilistic Model Checking with PRISM", In Proceeding: Proc. of the 22th International Conference on Tools and Algorithms for the Construction and Analysis of Systems (TACAS), Springer, vol. 9636, pp. 349–366, 2016. [Bibtex & Downloads]
  • Philipp Chrszon, Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "Family-Based Modeling and Analysis for Probabilistic Systems - Featuring ProFeat", In Proceeding: Proc. of the 19th International Conference on Fundamental Approaches to Software Engineering (FASE), Springer, vol. 9633, pp. 287–304, 2016. [Bibtex & Downloads]
  • Philipp Chrszon, Clemens Dubslaff, Christel Baier, Joachim Klein, Sascha Klüppelholz, "Modeling Role-Based Systems with Exogenous Coordination", In Proceeding: Theory and Practice of Formal Methods - Essays Dedicated to Frank de Boer on the Occasion of His 60th Birthday, Springer, vol. 9660, pp. 122–139, 2016. [Bibtex & Downloads]
  • Matthias Lieber, Kerstin Gößner, Wolfgang E. Nagel, "The Potential of Diffusive Load Balancing at Large Scale", Proceedings of the 23rd European MPI Users' Group Meeting, ACM, pp. 154–157, 2016. [doi] [Bibtex & Downloads]
  • Tomas Karnagel, "Heterogeneity-Aware Query Optimization", In Proceeding: VLDB 2016 PhD Workshop, 2016. [Bibtex & Downloads]
  • Tomas Karnagel, Dirk Habich, Wolfgang Lehner, "Limitations of Intra-operator Parallelism Using Heterogeneous Computing Resources", Springer International Publishing, pp. 291–305, Cham, 2016. [doi] [Bibtex & Downloads]
  • Jörg Stiller, "Robust multigrid for high-order discontinuous Galerkin methods: A fast Poisson solver suitable for high-aspect ratio Cartesian grids", In arXiv preprint arXiv:1603.02524, 2016. [Bibtex & Downloads]
  • Christel Baier, Stefan Kiefer, Joachim Klein, Sascha Klüppelholz, David Müller, James Worrell, "Markov Chains and Unambiguous B$\backslash$" uchi Automata", In arXiv preprint arXiv:1605.00950, 2016. [Bibtex & Downloads]
  • Sebastian Haas, Oliver Arnold, Stefan Scholze, Sebastian Höppner, Georg Ellguth, Andreas Dixius, Annett Ungethüm, Eric Mier, Benedikt Nöthen, Emil Matúš, others, "A database accelerator for energy-efficient query processing and optimization", In Proceeding: Nordic Circuits and Systems Conference (NORCAS), 2016 IEEE, pp. 1–5, 2016. [Bibtex & Downloads]
  • Immo Huismann, Jörg Stiller, Jochen Fröhlich, "Cascadic Multigrid in a Spectral-Element Context", In PAMM, Wiley Online Library, vol. 16, no. 1, pp. 841–842, 2016. [Bibtex & Downloads]
  • Joerg Stiller, "Robust Multigrid for Cartesian Interior Penalty DG Formulations of the Poisson Equation in 3D", In arXiv preprint arXiv:1612.04796, 2016. [Bibtex & Downloads]
  • Jörg Stiller, "Nonuniformly weighted Schwarz smoothers for spectral element multigrid", In Journal of Scientific Computing, Springer, pp. 1–16, 2016. [Bibtex & Downloads]
  • Adam Lackorzynski, Carsten Weinhold, Hermann Härtig, "Combining Predictable Execution with Full-Featured Commodity Systems", In OSPERT 2016, pp. 31, 2016. [Bibtex & Downloads]
  • Romain Jacob, Marco Zimmerling, Pengcheng Huang, Jan Beutel, Lothar Thiele, "Towards Real-time Wireless Cyber-physical Systems", In Edited by Sebastian Altmeyer, pp. 7, 2016. [Bibtex & Downloads]
  • Carsten Weinhold, Adam Lackorzynski, Jan Bierbaum, Martin Küttler, Maksym Planeta, Hermann Härtig, Amnon Shiloh, Ely Levy, Tal Ben-Nun, Amnon Barak, others, "FFMK: a fast and fault-tolerant microkernel-based system for exascale computing", Chapter in Software for Exascale Computing-SPPEXA 2013-2015, Springer, pp. 405–426, 2016. [Bibtex & Downloads]
  • Sebastian Haas, Oliver Arnold, Benedikt Nöthen, Stefan Scholze, Georg Ellguth, Andreas Dixius, Sebastian Höppner, Stefan Schiefer, Stephan Hartmann, Stephan Henker, others, "An MPSoC for energy-efficient database query processing", In Proceeding: Design Automation Conference (DAC), 2016 53nd ACM/EDAC/IEEE, pp. 1–6, 2016. [Bibtex & Downloads]
  • Jens Bartelt, Dan Zhang, Gerhard Fettweis, "Joint Uplink Radio Access and Fronthaul Reception Using MMSE Estimation", In IEEE Transactions on Communications, IEEE, 2016. [Bibtex & Downloads]
  • Adam Lackorzynski, Carsten Weinhold, Hermann Härtig, "Decoupled: Low-Effort Noise-Free Execution on Commodity Systems", Proceedings of the 6th International Workshop on Runtime and Operating Systems for Supercomputers, pp. 2, 2016. [Bibtex & Downloads]
  • 2015

  • Michael Raitza, Markus Vogt, Christian Hochberger, Thilo Pionteck, "RAW 2014: Random Number Generators on FPGAs", In ACM Trans. Reconfigurable Technol. Syst., ACM, vol. 9, no. 2, pp. 15:1–15:21, New York, NY, USA, Dec 2015. [doi] [Bibtex & Downloads]
  • Christoff Bürger, Johannes Mey, René Schöne, Sven Karol, Daniel Langner, "Using Reference Attribute Grammar-Controlled Rewriting for Energy Auto-Tuning", Proceedings of the 10th International Workshop on Models@run.time (MRT), Nov 2015. ([link]) [Bibtex & Downloads]
  • Andrés Goens, Jeronimo Castrillon, "Analysis of Process Traces for Mapping Dynamic KPN Applications to MPSoCs", In Proceeding: System Level Design from HW/SW to Memory for Embedded Systems. IESS 2015. IFIP Advances in Information and Communication Technology, vol 523 (Götz, Marcelo and Schirner, Gunar and Wehrmeister, Marco Aurélio and Al Faruque, Mohammad Abdullah and Rettberg, Achim), Springer International Publishing, pp. 116–127, Foz do Iguaçu, Brazil, Nov 2015. [doi] [Bibtex & Downloads]
  • Benjamin Schiller, Jeronimo Castrillon, Thorsten Strufe, "Efficient data structures for dynamic graph analysis", Proceedings of the 11th International Conference on Signal-Image Technology & Internet-Based Systems (SITIS) (Lisa O'Conner), IEEE Computer Society, pp. 497–504, Bangkok, Thailand, Nov 2015. [doi] [Bibtex & Downloads]
  • Norman A. Rink, Jeronimo Castrillon, "Improving Code Generation for Software-based Error Detection", Proceedings of the 1st International Workshop on Resiliency in Embedded Electronic Systems (REES), co-located with ESWEEK 2015, pp. 16–30, Amsterdam, The Netherlands, Oct 2015. ([link]) [Bibtex & Downloads]
  • Jeronimo Castrillon, "Analysis and software synthesis of KPN applications", In Design of Robotics and Embedded systems, Analysis, and Modeling Seminar (DREAMS) (invited talk), Oct 2015. ([link]) [Bibtex & Downloads]
  • Jeronimo Castrillon, "Dataflow programming for heterogeneous computing systems", In Tutorial Algorithmic Specification, Tools and Algorithms for Programming Heterogeneous Platforms. Co-located with the 24th International Conference on Parallel Architectures and Compilation Techniques (PACT'15), Oct 2015. ([link]) [Bibtex & Downloads]
  • Markus Vogt, Gerald Hempel, Jeronimo Castrillon, Christian Hochberger, "GCC-Plugin for Automated Accelerator Generation and Integration on Hybrid FPGA-SoCs", Proceedings of the Second International Workshop on FPGAs for Software Programmers (FSP), Sep 2015. ([link]) [Bibtex & Downloads]
  • Jeronimo Castrillon, "Orchestration: Turning material breakthroughs into application performance", In Dresden Microelectronics Academy, (invited talk), Sep 2015. [Bibtex & Downloads]
  • Norman A. Rink, Dmitrii Kuvaiskii, Jeronimo Castrillon, Christof Fetzer, "Compiling for Resilience: the Performance Gap", Chapter in Parallel Computing: On the Road to Exascale (ParCo 2015). Extended from Proceedings of the Mini-Symposium on Energy and Resilience in Parallel Programming (ERPP 2015) (Gerhard R. Joubert and Hugh Leather and Mark Parsons and Frans Peters and Mark Sawyer), IOS Press, vol. 27, pp. 721–730, Edinburgh, Scotland, Sep 2015. [doi] [Bibtex & Downloads]
  • Gerald Hempel, Markus Vogt, Jeronimo Castrillon, Christian Hochberger, "Software-Backed Caching and Virtual Addressing for Generated Accelerators in SoC FPGAs", Proceedings of 41st EUROMICRO Conference on Software Engineering and Advanced Applications - Work in Progress Session (Grosspietsch, Erwin and Klöckner, Konrad), SEA-Publications: SEA-SR-44, Funchal, Madeira (Portugal), August 2015. [Bibtex & Downloads]
  • Sven Karol, Pietro Incardona, Yaser Afshar, Ivo Sbalzarini, Jeronimo Castrillon, "Towards a Next-Generation Parallel Particle-Mesh Language", Proceedings of the 3rd Workshop on Domain-Specific Language Design and Implementation (DSLDI), pp. 15–18, Jul 2015. ([link]) [Bibtex & Downloads]
  • Nils Asmussen, Benedikt Nöthen, Marcus Völp, Oliver Arnold, Hermann Härtig, Gerhard Fettweis, "Uniform Control Over Heterogeneous Cores Through NoC-Level Isolation", In Proceeding: Design Automation Conference (DAC), Work in Progress Session, San Francisco, June 2015. [Bibtex & Downloads]
  • Jeronimo Castrillon, "Portable Libraries and Programming Environments", In HiPEAC Computing Systems Week, (invited talk), May 2015. [Bibtex & Downloads]
  • Sven Karol, "Well-Formed and Scalable Invasive Software Composition", PhD thesis, TU Dresden, May 2015. ([pdf] [tool]) [Bibtex & Downloads]
  • A. Ungethum, D. Habich, T. Karnagel, W. Lehner, N. Asmussen, M. Volp, B. Nothen, G. Fettweis, "Query processing on low-energy many-core processors", In Proceeding: Data Engineering Workshops (ICDEW), 2015 31st IEEE International Conference on, pp. 155-160, April 2015. [doi] [Bibtex & Downloads]
  • Jeronimo Castrillon, Lothar Thiele, Lars Schorr, Weihua Sheng, Ben Juurlink, Mauricio Alvarez-Mesa, Angela Pohl, Ralph Jessenberger, Victor Reyes, Rainer Leupers, "Multi/Many-core Programming: Where Are We Standing?", Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition (DATE), EDA Consortium, pp. 1708–1717, San Jose, CA, USA, Mar 2015. ([link]) [Bibtex & Downloads]
  • Nils Asmussen, Marcus Völp, "Taming Heterogeneous Accelerators: Operating-Systems for Cores with no OS Support", In Proceeding: 20th International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), Wild and Crazy Ideas Session, March 2015. [Bibtex & Downloads]
  • Amnon Barak, Zvi Drezner, Ely Levy, Matthias Lieber, Amnon Shiloh, "Resilient gossip algorithms for collecting online management information in exascale clusters", In Concurrency and Computation: Practice and Experience, Wiley Online Library, vol. 27, no. 17, pp. 4797–4818, Jan 2015. [doi] [Bibtex & Downloads]
  • Jeronimo Castrillon, "Tools and dataflow-based programming models for heterogeneous MPSoCs", In Workshop on Power-Efficient GPU and Many-core Computing (PEGPUM'15) in conjunction with the HiPEAC Conference (invited talk), Jan 2015. [Bibtex & Downloads]
  • Jeronimo Castrillon, "Simulation and Estimation for MPSoC Programming Tools", In Proceeding: Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools (RAPIDO'15), in conjunction with the HiPEAC Conference (keynote), Jan 2015. [Bibtex & Downloads]
  • Christel Baier, Marcus Daum, Benjamin Engel, Hermann Härtig, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "Locks: Picking key methods for a scalable quantitative analysis", In Journal of Computer and System Sciences, Elsevier, vol. 81, no. 1, pp. 258–287, 2015. [doi] [Bibtex & Downloads]
  • Clemens Dubslaff, Christel Baier, Sascha Klüppelholz, "Probabilistic Model Checking for Feature-Oriented Systems", Chapter in Transactions on Aspect-Oriented Software Development XII, Springer, pp. 180–220, 2015. [doi] [Bibtex & Downloads]
  • Marcus Völp, Michael Roitzsch, Hermann Härtig, "Towards an Interpretation of Mixed Criticality for Optimistic Scheduling", In Proceeding: 21st IEEE Real-Time and Embedded Technology and Applications Symposium, pp. 15, 2015. [Bibtex & Downloads]
  • Nils Asmussen, Marcus Völp, "Heterogeneity Beyond Hybrid Architectures", In GI Fachgruppe Betriebssysteme - Frühjahrstreffen, 2015. [Bibtex & Downloads]
  • Sebastian Götz, Nelly Bencomo, Robert France, "Devising the Future of the Models@ run. time Workshop", In ACM SIGSOFT Software Engineering Notes, ACM, vol. 40, no. 1, pp. 26–29, 2015. [doi] [Bibtex & Downloads]
  • Tomas Karnagel, Dirk Habich, Wolfgang Lehner, "Local vs. Global Optimization: Operator Placement Strategies in Heterogeneous Environments", In Computing, vol. 1, pp. O2, 2015. [Bibtex & Downloads]
  • Sebastian Ertel, Christof Fetzer, Pascal Felber, "Ohua: Implicit Dataflow Programming for Concurrent Systems", Proceedings of the Principles and Practices of Programming on The Java Platform, ACM, pp. 51–64, New York, NY, USA, 2015. [doi] [Bibtex & Downloads]
  • Daniel Krähmann, Jana Schubert, Christel Baier, Clemens Dubslaff, "Ratio and weight quantiles", Chapter in Mathematical Foundations of Computer Science 2015, Springer, pp. 344–356, 2015. [Bibtex & Downloads]
  • Clemens Dubslaff, Christel Baier, "Quantitative Analysis of Communication Scenarios", Chapter in Formal Modeling and Analysis of Timed Systems, Springer, pp. 76–92, 2015. [Bibtex & Downloads]
  • Christel Baier, "Reasoning About Cost-Utility Constraints in Probabilistic Models", Chapter in Reachability Problems, Springer, pp. 1–6, 2015. [Bibtex & Downloads]
  • Joachim Klein, Christel Baier, Sascha Klüppelholz, "Compositional construction of most general controllers", In Acta Informatica, Springer, pp. 1–40, 2015. [Bibtex & Downloads]
  • Till Smejkal, Adam Lackorzynski, Benjamin Engel, Marcus Völp, "Transactional IPC in Fiasco. OC", In OSPERT 2015, pp. 19, 2015. [Bibtex & Downloads]
  • Marco Salvalaglio, Rainer Backofen, Roberto Bergamaschini, Francesco Montalenti, Axel Voigt, "Faceting of equilibrium and metastable nanostructures: a Phase-Field model of surface diffusion tackling realistic shapes", In Crystal Growth & Design, ACS Publications, 2015. [Bibtex & Downloads]
  • T. Witkowski, S. Ling, S. Praetorius, A. Voigt, "Software concepts and numerical algorithms for a scalable adaptive parallel finite element method", In Advances in Computational Mathematics, Springer, pp. 1–33, 2015. [Bibtex & Downloads]
  • Marcus Volp, Nils Asmussen, Hermann Hartig, Benedikt Nothen, Gerhard Fettweis, "Towards dependable CPS infrastructures: Architectural and operating-system challenges", In Proceeding: Emerging Technologies & Factory Automation (ETFA), 2015 IEEE 20th Conference on, pp. 1–8, 2015. [Bibtex & Downloads]
  • Nils Asmussen, Marcus Volp, Benedikt Nothen, Annett Ungethum, "Demo abstract: Taming many heterogeneous cores", In Proceeding: Real-Time and Embedded Technology and Applications Symposium (RTAS), 2015 IEEE, pp. 329–329, 2015. [Bibtex & Downloads]
  • Immo Huismann, Jörg Stiller, Jochen Fröhlich, "Two-level parallelization of a fluid mechanics algorithm exploiting hardware heterogeneity", In Computers & Fluids, vol. 117, pp. 114 - 124, 2015. [doi] [Bibtex & Downloads]
  • Marcus Hahnel, Hermann Hartig, "Demo abstract: An energy/utility demo-Energy-aware resource scheduling under utility considerations", In Proceeding: 2015 IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS), pp. 330, 2015. [Bibtex & Downloads]
  • Tobias Kempe, Alvaro Aguilera, Wolfgang Nagel, Jochen Fröhlich, "Performance of a projection method for incompressible flows on heterogeneous hardware", In Computers & Fluids, Elsevier, vol. 121, pp. 37–43, 2015. [Bibtex & Downloads]
  • Vinay Suryaprakash, Jesper Moller, Gerhard Fettweis, "On the modeling and analysis of heterogeneous radio access networks using a Poisson cluster process", In Wireless Communications, IEEE Transactions on, IEEE, vol. 14, no. 2, pp. 1035–1047, 2015. [Bibtex & Downloads]
  • Vinay Suryaprakash, Peter Rost, Gerhard Fettweis, "Are Heterogeneous Cloud-Based Radio Access Networks Cost Effective?", In Selected Areas in Communications, IEEE Journal on, IEEE, vol. 33, no. 10, pp. 2239–2251, 2015. [Bibtex & Downloads]
  • Immo Huismann, Jörg Stiller, Jochen Fröhlich, "Two-level parallelization of a fluid mechanics algorithm exploiting hardware heterogenity", In Computers & Fluids, Elsevier, 2015. [Bibtex & Downloads]
  • Tomás Babiak, Frantisek Blahoudek, Alexandre Duret-Lutz, Joachim Klein, Jan Kretínský, David Müller, David Parker, Jan Strejcek, "The Hanoi Omega-Automata Format", In Proceeding: Proc. of the 27th Conference on Computer Aided Verification, Part I (CAV), Springer, vol. 9206, pp. 479–486, 2015. [Bibtex & Downloads]
  • Peter Rost, Ignacio Berberana, Andreas Maeder, Henning Paul, Vinay Suryaprakash, Matthew Valenti, Dirk Wübben, Armin Dekorsy, Gerhard Fettweis, "Benefits and challenges of virtualization in 5G radio access networks", In IEEE Communications Magazine, IEEE, vol. 53, no. 12, pp. 75–82, 2015. [Bibtex & Downloads]
  • Maximilian Matthé, Luciano Leonel Mendes, Nicola Michailow, Dan Zhang, Gerhard Fettweis, "Widely linear estimation for space-time-coded GFDM in low-latency applications", In IEEE Transactions on Communications, IEEE, vol. 63, no. 11, pp. 4501–4509, 2015. [Bibtex & Downloads]
  • 2014

  • Jeronimo Castrillon, "Compiler Flow for Processors and Systems", In Winter School on Design, Programming and Applications of Multi Processor System on Chip (invited talk), Nov 2014. [Bibtex & Downloads]
  • Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "Probabilistic Software Product Line Model Checking", In Presentation, April 2014. [Bibtex & Downloads]
  • Benedikt Noethen, Oliver Arnold, Esther Perez Adeva, Tobias Seifert, Erik Fischer, Steffen Kunze, Emil Matus, Gerhard Fettweis, Holger Eisenreich, Georg Ellguth, others, "10.7 A 105GOPS 36mm 2 heterogeneous SDR MPSoC with energy-aware dynamic scheduling and iterative detection-decoding for 4G in 65nm CMOS", In Proceeding: Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2014 IEEE International, pp. 188–189, 2014. [doi] [Bibtex & Downloads]
  • Christel Baier, Clemens Dubslaff, Sascha Klüppelholz, "Trade-off analysis meets probabilistic model checking", Proceedings of the Joint Meeting of the Twenty-Third EACSL Annual Conference on Computer Science Logic (CSL) and the Twenty-Ninth Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), pp. 1, 2014. [doi] [Bibtex & Downloads]
  • Christel Baier, Clemens Dubslaff, Joachim Klein, Sascha Klüppelholz, Sascha Wunderlich, "Probabilistic model checking for energy-utility analysis", Chapter in Horizons of the Mind. A Tribute to Prakash Panangaden, Springer, pp. 96–123, 2014. [doi] [Bibtex & Downloads]
  • Christel Baier, Clemens Dubslaff, Sascha Klüppelholz, Linda Leuschner, "Energy-utility analysis for resilient systems using probabilistic model checking", Chapter in Application and Theory of Petri Nets and Concurrency, Springer, pp. 20–39, 2014. [doi] [Bibtex & Downloads]
  • Christel Baier, Clemens Dubslaff, Sascha Klüppelholz, Marcus Daum, Joachim Klein, Steffen Märcker, Sascha Wunderlich, "Probabilistic Model Checking and Non-standard Multi-objective Reasoning", Chapter in Fundamental Approaches to Software Engineering, Springer, pp. 1–16, 2014. [doi] [Bibtex & Downloads]
  • Christel Baier, Joachim Klein, Sascha Klüppelholz, "Synthesis of Reo Connectors for Strategies and Controllers", In Fundamenta Informaticae, IOS Press, vol. 130, no. 1, pp. 1–20, 2014. [doi] [Bibtex & Downloads]
  • Christel Baier, Joachim Klein, Sascha Klüppelholz, Sascha Wunderlich, "Weight monitoring with linear temporal logic: Complexity and decidability", Proceedings of the Joint Meeting of the Twenty-Third EACSL Annual Conference on Computer Science Logic (CSL) and the Twenty-Ninth Annual ACM/IEEE Symposium on Logic in Computer Science (LICS), pp. 11, 2014. [doi] [Bibtex & Downloads]
  • Christel Baier, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, "Computing conditional probabilities in Markovian models efficiently", Chapter in Tools and Algorithms for the Construction and Analysis of Systems, Springer, pp. 515–530, 2014. [doi] [Bibtex & Downloads]
  • Christel Baier, Marcus Daum, Clemens Dubslaff, Joachim Klein, Sascha Klüppelholz, "Energy-utility quantiles", Chapter in NASA Formal Methods, Springer, pp. 285–299, 2014. [doi] [Bibtex & Downloads]
  • Clemens Dubslaff, Sascha Klüppelholz, Christel Baier, "Probabilistic Model Checking for Energy Analysis in Software Product Lines", In Proceeding: Proc. of the 13th International Conference on Modularity (MODULARITY), ACM, pp. 169–180, 2014. [Bibtex & Downloads]
  • Ely Levy, Amnon Barak, Amnon Shiloh, Matthias Lieber, Carsten Weinhold, Hermann Härtig, "Overhead of a decentralized gossip algorithm on the performance of HPC applications", Proceedings of the 4th International Workshop on Runtime and Operating Systems for Supercomputers, pp. 10, 2014. [doi] [Bibtex & Downloads]
  • Immo Huismann, Lars Haupt, Jörg Stiller, Jochen Fröhlich, "Sum factorization of the static condensed Helmholtz equation in a three-dimensional spectral element discretization", In PAMM, Wiley Online Library, vol. 14, no. 1, pp. 969–970, 2014. [doi] [Bibtex & Downloads]
  • Adam Lackorzynski, Marcus Völp, Alexander Warg, "Flat but trustworthy: security aspects in flattened hierarchical scheduling", In ACM SIGBED Review, ACM, vol. 11, no. 2, pp. 8–12, 2014. [Bibtex & Downloads]
  • Joachim Klein, David Müller, Christel Baier, Sascha Klüppelholz, "Are Good-for-Games Automata Good for Probabilistic Model Checking?", Chapter in Language and Automata Theory and Applications, Springer, pp. 453–465, 2014. [doi] [Bibtex & Downloads]
  • Matthias Lieber, Wolfgang E Nagel, "Scalable high-quality 1D partitioning", In Proceeding: High Performance Computing & Simulation (HPCS), 2014 International Conference on, pp. 112–119, 2014. [doi] [Bibtex & Downloads]
  • Matthias Lieber, Wolfgang E Nagel, Hartmut Mix, "Scalability Tuning of the Load Balancing and Coupling Framework FD4", In Proceeding: NIC Symposium 2014, vol. 47, pp. 363-370, 2014. [Bibtex & Downloads]
  • Marcus Völp, "What if we would degrade LO tasks in mixed-criticality systems?", In Proceeding: 20th IEEE Real-Time and Embedded Technology and Applications Symposium-Work in Progress Session (RTAS-WIP 2014), Berlin, Germany, 2014. [Bibtex & Downloads]
  • Marcus Volp, Marcus Hahnel, Adam Lackorzynski, "Has energy surpassed timeliness? Scheduling energy-constrained mixed-criticality systems", In Proceeding: Real-Time and Embedded Technology and Applications Symposium (RTAS), 2014 IEEE 20th, pp. 275–284, 2014. [doi] [Bibtex & Downloads]
  • Marcus Völp, Michael Roitzsch, "Elastic Manycores", In Proceeding: Euro-Par 2013: Parallel Processing Workshops, pp. 749–758, 2014. [doi] [Bibtex & Downloads]
  • Nathalie Bertrand, Patricia Bouyer, Thomas Brihaye, Quentin Menet, Christel Baier, Marcus Größer, Marcin Jurdzinski, "Stochastic Timed Automata", In Logical Methods in Computer Science (LMCS), vol. 10, no. 4, pp. 1–73, 2014. [Bibtex & Downloads]
  • Oliver Arnold, Sebastian Haas, Gerhard Fettweis, Benjamin Schlegel, Thomas Kissinger, Tomas Karnagel, Wolfgang Lehner, "HASHI: An Application-Specific Instruction Set Extension for Hashing", In ADMS@ VLDB, pp. 25–33, 2014. [Bibtex & Downloads]
  • Oliver Arnold, Sebastian Haas, Gerhard Fettweis, Benjamin Schlegel, Thomas Kissinger, Wolfgang Lehner, "An Application-specific Instruction Set for Accelerating Set-oriented Database Primitives", Proceedings of the 2014 ACM SIGMOD International Conference on Management of Data, ACM, pp. 767–778, New York, NY, USA, 2014. [doi] [Bibtex & Downloads]
  • Tomas Karnagel, Dirk Habich, Benjamin Schlegel, Wolfgang Lehner, "Heterogeneity-Aware Operator Placement in Column-Store DBMS", In Datenbank-Spektrum, Springer, vol. 14, no. 3, pp. 211–221, 2014. [doi] [Bibtex & Downloads]
  • Tomas Karnagel, Roman Dementiev, Ravi Rajwar, Konrad Lai, Thomas Legler, Benjamin Schlegel, Wolfgang Lehner, "Improving in-memory database index performance with Intel\textregistered Transactional Synchronization Extensions", In Proceeding: High Performance Computer Architecture (HPCA), 2014 IEEE 20th International Symposium on, pp. 476–487, 2014. [doi] [Bibtex & Downloads]
  • Tomas Karnagel, Matthias Hille, Mario Ludwig, Dirk Habich, Wolfgang Lehner, Max Heimel, Volker Markl, "Demonstrating efficient query processing in heterogeneous environments", Proceedings of the 2014 ACM SIGMOD international conference on Management of data, pp. 693–696, 2014. [doi] [Bibtex & Downloads]
  • Sebastian Ertel, Pascal Felber, "A Framework for the Dynamic Evolution of Highly-available Dataflow Programs", Proceedings of the 15th International Middleware Conference, ACM, pp. 157–168, New York, NY, USA, 2014. [doi] [Bibtex & Downloads]
  • John Thompson, Xiaohu Ge, Hsiao-Chun Wu, Ralf Irmer, Hong Jiang, Gerhard Fettweis, Siavash Alamouti, "5G wireless communication systems: prospects and challenges Part 2", In IEEE Communications Magazine, no. 52, pp. 24–25, 2014. [Bibtex & Downloads]
  • Gerhard P Fettweis, "The tactile internet: Applications and challenges", In Vehicular Technology Magazine, IEEE, IEEE, vol. 9, no. 1, pp. 64–70, 2014. [Bibtex & Downloads]
  • M. Hahnel, H. Hartig, "Heterogeneity by the numbers", In HotPower, 2014. [Bibtex & Downloads]
  • Dirk Habich, Stefanie Gahrig, Wolfgang Lehner, "Towards Optimal Execution of Density-based Clustering on Heterogeneous Hardware.", In Proceeding: BigMine, pp. 104–119, 2014. [Bibtex & Downloads]
  • Albrecht Fehske, Henrik Klessig, Jens Voigt, Gerhard Fettweis, "Flow-level models for capacity planning and management in interference-coupled wireless data networks", In IEEE Communications Magazine, IEEE, vol. 52, no. 2, pp. 164–171, 2014. [Bibtex & Downloads]
  • 2013

  • Adam Lackorzynski, Benjamin Engel, Marcus Völp, "PredictableCoherentCachingwithIncoherentCaches", Proceedingsof15thReal-TimeLinuxWorkshop, Lugano-Manno, Switzerland, 10/2013. [Bibtex & Downloads]
  • Benjamin Schlegel, Tomas Karnagel, Tim Kiefer, Wolfgang Lehner, "Scalable frequent itemset mining on many-core processors", Proceedings of the Ninth International Workshop on Data Management on New Hardware, pp. 3, 2013. [doi] [Bibtex & Downloads]
  • Christel Baier, "Quantitative Analysis of Randomized Distributed Systems and Probabilistic Automata", Chapter in Algebraic Informatics, Springer, pp. 4–5, 2013. [doi] [Bibtex & Downloads]
  • Christel Baier, Benjamin Engel, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "A Probabilistic Quantitative Analysis of Probabilistic-Write/Copy-Select.", In Proceeding: NASA Formal Methods, pp. 307–321, 2013. [doi] [Bibtex & Downloads]
  • Hermann Härtig, Marcus Völp, Marcus Hähnel, "The case for practical multi-resource and multi-level scheduling based on Energy/Utility.", In Proceeding: RTCSA, pp. 175–182, 2013. [doi] [Bibtex & Downloads]
  • Johannes Israel, John Martinovic, Andreas Fischer, Michael Jenning, Lukas Landau, "Optimal antenna positioning for wireless board-to-board communication using a butler matrix beamforming network", In Proceeding: Smart Antennas (WSA), 2013 17th International ITG Workshop on, pp. 1–7, 2013. [Bibtex & Downloads]
  • Marcus Hähnel, Björn Döbel, Marcus Völp, Hermann Härtig, "eBond: energy saving in heterogeneous RAIN", Proceedings of the fourth international conference on Future energy systems, pp. 193–202, 2013. [Bibtex & Downloads]
  • Marcus Hahnel, Marcus Volp, Bjorn Dobel, Hermann Hartig, "The potential of energy/utility-accrual scheduling", In Proceeding: Advanced Information Networking and Applications Workshops (WAINA), 2013 27th International Conference on, pp. 1636–1641, 2013. [Bibtex & Downloads]
  • Michael Ummels, Christel Baier, "Computing quantiles in Markov reward models", In Proceeding: Foundations of Software Science and Computation Structures, pp. 353–368, 2013. [doi] [Bibtex & Downloads]
  • Marcus Völp, Adam Lackorzynski, Hermann Härtig, "On the expressiveness of fixed priority scheduling contexts for mixed criticality scheduling", In Proc. WMC, RTSS, pp. 13–18, 2013. [Bibtex & Downloads]
  • Marcus Volp, Benjamin Engel, C. Hamann, Hermann Hartig, "On confidentiality-preserving real-time locking protocols", In Proceeding: Real-Time and Embedded Technology and Applications Symposium (RTAS), 2013 IEEE 19th, pp. 153–162, 2013. [doi] [Bibtex & Downloads]
  • Nils Asmussen, Hermann Härtig, Marcus Völp, "Turning x86 into a Hardware Simulator for Future Manycores", Proceedings of the 3rd Workshop on Systems for Future Multicore Architectures, 2013. [Bibtex & Downloads]
  • Tobias Hilbrich, Bronis R. de Supinski, Wolfgang E. Nagel, Joachim Protze, Christel Baier, Matthias S. Muller, "Distributed wait state tracking for runtime MPI deadlock detection", In Proceeding: High Performance Computing, Networking, Storage and Analysis (SC), 2013 International Conference for, pp. 1–12, 2013. [doi] [Bibtex & Downloads]
  • Tomas Karnagel, Dirk Habich, Benjamin Schlegel, Wolfgang Lehner, "The HELLS-join: a heterogeneous stream join for extremely large windows", Proceedings of the Ninth International Workshop on Data Management on New Hardware, pp. 2, 2013. [doi] [Bibtex & Downloads]
  • Tomas Karnagel, Benjamin Schlegel, Dirk Habich, Wolfgang Lehner, "Stream Join Processing on Heterogeneous Processors.", In Proceeding: BTW Workshops, pp. 17–26, 2013. [Bibtex & Downloads]
  • Sebastian Ertel, Michael J. Beckerle, "Dynamic Development Support for Highly Concurrent Programs in the Ohua Data Flow Engine", In Proceeding: Second Workshop on Languages for the Multicore Era (Co-located with ECOOP'13), 2013. [Bibtex & Downloads]
  • Oliver Arnold, Emil Matus, Benedikt Noethen, Friedrich Pauls, Gerhard Fettweis, "Towards elastic SDR architectures using dynamic task management", In Proceeding: Global Conference on Signal and Information Processing (GlobalSIP), 2013 IEEE, pp. 1286–1289, 2013. [Bibtex & Downloads]
  • Oliver Arnold, Benedikt Noethen, Gerhard Fettweis, "A Flexible Analytic Model for a Dynamic Task-Scheduling Unit for Heterogeneous MPSoCs", In Proceeding: International Conference on Advances in System Simulation (SIMUL'13), Venice Italy, vol. 27, no. 1.11, 2013. [Bibtex & Downloads]
  • Benedikt Noethen, Oliver Arnold, Gerhard Fettweis, "On the impact of dynamic data management for distributed local memories in heterogeneous MPSoCs", In Proceeding: System on Chip (SoC), 2013 International Symposium on, pp. 1–7, 2013. [Bibtex & Downloads]
  • 2012

  • Benjamin Engel, Marcus Voelp, "First Experiences on PWCS synchronized Data Structures", In Proceeding: 14th Real Time Linux Workshop, October 2012. [Bibtex & Downloads]
  • Álvaro Fernández-Díaz, Christel Baier, Clara Benac-Earle, Lars-Åke Fredlund, "Static Partial Order Reduction for Probabilistic Concurrent Systems" , Proceedings of the International Conference on Quantitative Evaluation of Systems (QEST), Sep 2012. [Bibtex & Downloads]
  • Paolo Zuliani, Christel Baier, Edmund Melson Clarke, "Rare-event verification for stochastic hybrid systems" , Proceedings of the ACM International Conference on Hybrid Systems: Computation and Control (HSCC), Jul 2012. [Bibtex & Downloads]
  • Christel Baier, Tomáš Brázdil, Marcus Größer, Antonín Kučera, "Stochastic game logic" , In Acta Informatica, vol. 49, no. 4, pp. 203-224, Jun 2012. [Bibtex & Downloads]
  • Adam Lackorzyński, Alexander Warg, Marcus Völp, Hermann Härtig, "Flattening hierarchical scheduling", Proceedings of the tenth ACM international conference on Embedded software, pp. 93–102, 2012. [doi] [Bibtex & Downloads]
  • Christel Baier, Marcus Daum, Benjamin Engel, Hermann Härtig, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "Waiting for locks: How long does it usually take?", Springer, 2012. [doi] [Bibtex & Downloads]
  • Christel Baier, Marcus Daum, Benjamin Engel, Hermann Härtig, Joachim Klein, Sascha Klüppelholz, Steffen Märcker, Hendrik Tews, Marcus Völp, "Chiefly Symmetric: Results on the Scalability of Probabilistic Model Checking for Operating-System Code", In Proceeding: Proc. of the 7th Conference on Systems Software Verification (SSV'12), vol. 102, pp. 156–166, 2012. [Bibtex & Downloads]
  • Marcus Hähnel, Björn Döbel, Marcus Völp, Hermann Härtig, "Measuring energy consumption for short code paths using RAPL", In ACM SIGMETRICS Performance Evaluation Review, ACM, vol. 40, no. 3, pp. 13–17, 2012. [Bibtex & Downloads]
  • Wolfgang Lehner, Gerhard Fettweis, "Technology Time Machine 2012-Paving the path for the future technology developments [includes 9 white papers]", In Proceeding: Technology Time Machine Symposium (TTM), 2012 IEEE, pp. 1–38, 2012. [Bibtex & Downloads]
  • Previous Years

  • Marcus Völp, Johannes Steinmetz, Marcus Hähnel, "Consolidate-to-Idle", In Proceeding: 19th Real-Time and Embedded Technology and Applications Symposium, vol. 19, pp. 9–12. [Bibtex & Downloads]
  • Tobias Stumpf, Hermann Härtig, Eberle A Rambo, Rolf Ernst, "Cross-layer Resilience Mechanisms to Protect the Communication Path in Embedded Systems". [Bibtex & Downloads]